在systemverilog中的模块中使用struct数据类型

问题描述 投票:2回答:1

我需要在SystemVerilog的模块中使用struct数据类型。该结构的某些成员包含一个数组。我收到错误“不兼容的复杂类型赋值”。我在common.sv中包含以下结构:

typedef struct {
     logic[1:0] num;
     logic val;
   } lit;

typedef lit lit_array[1:0];

typedef struct {
    lit_array lits;
    logic[1:0] len;
   } clause;

typedef clause clause_array[2:0];

typedef struct {
     clause_array clauses;
     logic[2:0] len;
   } formula;

typedef formula formula_array[4:0];

当我尝试在模块中使用“formula”数据类型时,我得到“不兼容的复杂类型赋值”错误。以下是代码。

`include "common.sv"
module propagateliteral(input logic clock, reset, find, 
                        input lit in_lit, 
                        input formula in_formula, 
                        output logic ended, empty_clause, empty_formula);
//my system-verilog code

测试台代码:

`include "common.sv"
module pl_test();
logic clock, reset, find;
lit in_lit;
formula in_formula;
logic ended, empty_clause, empty_formula;

propagateliteral test1(clock, reset, find, in_lit, in_formula, ended, 
                       empty_clause, empty_formula);
always
begin
clock=1'b1; #50; clock=1'b0; #50;
end

initial
begin
reset=1'b1; find=1'b0;
#160;
reset=1'b0; find=1'b0;
#100;
reset=1'b0; find=1'b1; in_lit='{2'b01,1'b1};
in_formula='{{{{{2'b01,1'b1},{2'b10,1'b1},{2'b00,1'b1}},2'b10}, //clause0
        {{{2'b10,1'b1},{2'b11,1'b1},{2'b00,1'b1}},2'b10}, //clause1
        {{{2'b01,1'b1},{2'b10,1'b1},{2'b11,1'b1}},2'b11}, //clause2
        {{{2'b01,1'b0},{2'b10,1'b1},{2'b11,1'b0}},2'b11}, //clause3
        {{{2'b01,1'b0},{2'b10,1'b1},{2'b11,1'b0}},2'b11}, //clause4
        {{{2'b01,1'b0},{2'b10,1'b1},{2'b11,1'b0}},2'b11}, //clause5
        {{{2'b01,1'b0},{2'b10,1'b1},{2'b11,1'b0}},2'b11}},3'b100}; //clause6
end
endmodule

在我做了一些搜索之后,我发现我无法传递解压缩的struct数据类型。有没有更好的方法来重新定义这些结构,以便我可以在模块中使用它们。对不起,我是SystemVerilog的新手,我可能没有使用正确的技术术语来描述我的问题。任何帮助表示赞赏。

verilog system-verilog
1个回答
3
投票

您需要确保结构定义来自同一个包,然后为每个模块重复导入相同的包。见http://go.mentor.com/package-import-versus-include

© www.soinside.com 2019 - 2024. All rights reserved.