uvm 相关问题

通用验证方法论

如何在系统 verilog 中随机化没有默认值的变量

我想要一个类,我想将所有 rand 变量放入一些默认值,只有当它们从句柄中分配非默认值时,这些变量才应该被随机化 例子 类型定义...

回答 1 投票 0

UVM 强制使用时钟块

我想使用以下 SystemVerilog 概念: 时钟块:为了避免驱动程序和监视器之间的竞争条件,我希望将其放在界面的中心位置 modport:通常(e...

回答 1 投票 0

关于UVM中的Configdb设置和获取问题

我的问题是当我在 my_test 类中进行设置并进入 componentA 类时,但是 get 引起了问题,因为我试图分配 compA 实例名称,即 compA[0] compA[1] 分配给

回答 1 投票 0

UVM:驱动程序和程序分配非法组合警告

我的芯片中有一个用于一小块的 UVM 测试平台。其中有一个带有驱动程序的代理,用于在虚拟接口上驱动数据,如下所示: 接口 my_if(输入位 cl...

回答 1 投票 0

对 uvm_reg 对象数组使用 uvm_reg_file 更好,还是在 uvm_reg_block 中声明一个数组更好?

我试图证明使用 uvm_reg_file 的合理性,但这会比我仅在 uvm_reg_block 类中声明“uvm_reg”对象的数组添加额外的层次结构。 我不解释...

uvm
回答 1 投票 0

UVM 试剂 - 单个/多个?

我想测试具有以下端口的接收器: 上图中箭头的详细信息: 控制/状态寄存器: 16x32位输入信号(16个控制寄存器) 16x32bit 输出信号 (

回答 1 投票 0

UVM RAL:NULL 指针取消引用

我是使用 UVM RAL 的初学者。我正在尝试使用 UVM_BACKDOOR 通过 DUT 寄存器进行访问。我认为这不需要适配器和预测器。我这样想也许是错误的。 下面是我的代码

回答 1 投票 0

为什么 RTL 没有响应我的 UVM 测试平台?

我正在尝试构建一个简单的 UVM 测试平台来测试 64 位 RSA 程序,但我无法从 DUT 获得任何响应。现在,我只想将随机明文数据发送到pr...

回答 1 投票 0

启动序列时限制交易类型

我想在供应商提供的 VIP 的定序器上启动内置 UVM 序列并约束生成项目的类型。问题是,sequencer 生成的类类型具有...

回答 1 投票 0

print_config 不显示值

我正在尝试调试一些遗留的 UVM 代码,但无法弄清楚发生了什么。不管怎样,在我的努力过程中,我遇到了这个函数,print_config(1),它应该打印出配置数据库

回答 1 投票 0

监视器/驱动程序与其 BFM 之间的虚拟接口???它们实际上是什么,有人可以解释一下吗?

我正在阅读 UVM 食谱,我对监视器、驱动程序及其 BFM 之间的虚拟接口连接感到困惑。这是否意味着可以有多个驱动程序或监视器,或者这是独立的...

回答 2 投票 0

参数化测试类的非过程上下文中包含动态数据的结构

SV/UVM 中的以下代码会产生代码后显示的 VCS 编译错误。 typedef 枚举 int { ABC, 防御, 生长激素指数, 。 。 。 } 枚举_t 类 some_test #(类型 T=uvm_test) 扩展 T; `

回答 2 投票 0

系统verilog UVM:参数化测试类的非过程上下文中包含动态数据的结构

下面的 SV/UVM 代码会产生 VCS 编译错误,如代码后所示。 typedef 枚举 int { ABC, 防御, 生长激素指数, 。 。 。 } 枚举_t 类 some_test #(类型 T=uvm_test) 扩展 T; `

回答 1 投票 0

你可以在易失性的reg上使用uvm_reg.get()吗?

我不清楚当您使用 uvm_reg.get() 获取包含一个或多个易失性 uvm_reg_field 的寄存器的值时会发生什么。我预计 uvm_reg.get() ...

回答 1 投票 0

UVM hdl 访问函数的 hdl 路径中的正则表达式

我想知道我可以使用正则表达式(正则表达式)作为 UVM 中任何 HDL 访问函数(如 uvm_hdl_deposit() 或 uvm_hdl_check_path())的字符串吗? 如果不是,那是因为没有这样的

回答 1 投票 0

抽象类不能在模块中实例化

我在尝试实例化 new() 函数时看到以下错误。 错误-[SV-ACCNBI]抽象类无法实例化 model_dpi_module, "umc_uvc_ptr = new("umc_uvc_ptr",

回答 1 投票 0

UVM 环境中的意外队列随机化

这是我的代码 -> eda Playground 当下面的代码激活时,当“monitor”将值发送为 anlaysis_port 时,uvm_scoreboard 中的类“trasn packet queue”会发生更改。我只是给...

回答 1 投票 0

使用蕴涵运算符的双向约束的多个结果

有没有一种方法可以为双向约束编写多个结果? 约束c_test{ (packet_size == LARGE) -> (Packet_length == 16); (Gap_betwn_packets == 2); } 上面的代码...

回答 1 投票 0

是否可以从通过 UVM_TESTNAME 传递的字符串动态创建 uvm 测试,然后用新创建的测试覆盖基本测试?

我有一个用例如下 有一个基本测试需要大量 +args 来运行序列 我不想为每个不同的 +args 组合编写单独的测试用例,但想运行测试

回答 1 投票 0

有什么方法可以将层次结构作为字符串转换为实际层次结构

我的需要是基于“lphy_duc_1cc0,lphy_duc_1cc1,lphy_duc_1cc3 .....等多次使用给定的层次结构,层次结构中的区别只是cc号。 reg_block.dl_lphy_duc_1cc0.dl_lphy.

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.