是否可以通过使用Quartus调用VHDL代码中的Verilog函数

问题描述 投票:1回答:1

我目前正在审查2种类型的代码(VHDL和Verilog)。我试图通过使用Quartus将Verilog的某些功能组合到VHDL代码中。是否可以直接在Quartus中进行?还是任何推荐的免费Verilog2VHDL转换器?

vhdl verilog quartus
1个回答
2
投票

无法直接从VHDL调用Verilog函数。

您要做的是将函数包装在模块中,以便每当输入发生更改时都会调用该函数,并对输出进行赋值。

module wrap_function(input arg1,arg2, output arg3);

function Vfunction(input arg1, arg2);
   begin
   // whatever 
   Vfunction = ...;
   end
endfunction

assign arg3 = Vfunciton(arg1,arg2);
endmodule

然后,您可以在类似的VHDL实体包装器中实例化此模块,该包装器具有修改输入并捕获输出的过程。

© www.soinside.com 2019 - 2024. All rights reserved.