找不到 .vcd 文件错误,但我使用了 $dump 代码

问题描述 投票:0回答:1

我一直尝试在EDA游乐场打开EPWave,它总是返回错误:

未找到 *.vcd 文件。 EPWave 将无法打开。你用过吗 '$dumpfile("转储.vcd"); $dumpvars;'?

我已经在

initial
的开头添加了这两个,但它不起作用(当然也是
$finish

这是项目:https://www.edaplayground.com/x/SzkF

我尝试在完成和开始之前和之后添加时间,以另一种方式命名转储文件,寻找一些类似的代码,但这些都不起作用。

verilog system-verilog iverilog edaplayground
1个回答
0
投票

iverilog
并不总是给出非常有用的错误消息。切换到 EDA Playground 上的另一个模拟器。例如,使用 Cadence 模拟器,我们收到以下错误消息:

  reg[0] b_reg;
       |
xmvlog: *E,SVNPSN (design.sv,132|7): The value in the single-bound form of a range must be positive.
  reg[0] b_reg;
       |
xmvlog: *E,SVPKSN (design.sv,132|7): The single-bound form of a range is only allowed for array (i.e., unpacked) dimensions.

当我改变时我可以摆脱编译错误:

  reg[0] b_reg;

至:

  reg b_reg;

切换回

iverilog
,我不再看到原来的VCD错误信息。

© www.soinside.com 2019 - 2024. All rights reserved.