这两个计数器有什么区别?

问题描述 投票:1回答:1

如果这两个语句在always_ff @(posedge clk)内,有什么区别?>

if(~Intf.DataFull) begin
   rWrPageCntr                <= rWrPageCntr - 1;
end

vs

rWrPageCntr               <= rWrPageCntr - ~Intf.DataFull;
    

如果这两个语句位于always_ff @(posedge clk)if(〜Intf.DataFull)begin rWrPageCntr <= rWrPageCntr-1中,这之间的区别是什么?结束vs rWrPageCntr ...

verilog system-verilog hdl
1个回答
0
投票

在以下假设下,有很大的不同:

© www.soinside.com 2019 - 2024. All rights reserved.