fifo 相关问题

First对象In中的任何结构是First对象Out。同义词包括队列和管道。

netty(或java NIO)通道是FIFO吗?

netty通道(或一般的Java NIO通道)是FIFO吗?或者我需要自己使用序列号来实现FIFO?谢谢

回答 2 投票 0

在Python中创建临时FIFO(命名管道)?

如何在Python中创建临时FIFO(命名管道)?这应该工作:import tempfile temp_file_name = mktemp()os.mkfifo(temp_file_name)open(temp_file_name,os.O_WRONLY)#...某个进程,...

回答 6 投票 25

设计有效/准备握手的方法

我在verilog中实现了有效/准备好的握手信号。我只是想知道我的方法是对的还是有问题的。我很高兴知道有任何改进。使用简单的计数器......

回答 1 投票 -1

从ipc fifo文件描述符读取缓冲区时,QDataStream未初始化

我想使用fifo(ipc)在两个应用程序之间进行通信,我已经通过“mkfifo MyPipe”命令创建了一个fifo。第一个App:.... .... fd = open(“MyPipe”,O_NONBLOCK | O_WRONLY); QByteArray buf; ...

回答 1 投票 0

计算FIFO SQL中的价格

使用Postgres 11使用FIFO,我想计算从库存中取出的物品的价格,以跟踪总库存的价值。数据集如下:ID | prodno | ...

回答 1 投票 0

有队列实现吗?

任何人都可以建议Go容器用于简单快速的FIF /队列,Go有3个不同的容器:堆,列表和向量。哪一个更适合实现队列?

回答 13 投票 44

固定大小的队列,在新的队列中自动使旧值出列

我正在使用ConcurrentQueue作为共享数据结构,其目的是保存传递给它的最后N个对象(历史记录类型)。假设我们有一个浏览器,我们想要浏览最后100个...

回答 11 投票 108

在子进程完成FIFO写入后,父进程如何读取FIFO?

我有一个非常简单的基本程序,有两个进程,第一个是父,第二个是子。子进程应该将一些内容写入FIFO。毕竟写作完成后(...之后)

回答 1 投票 0

LinkedHashMap LIFO还是FIFO?

LinkedHashMap是LIFO还是FIFO的性质?如果我的地图的形式是 - > map.put(1,“one”); map.put(2 “2”);如果我要使用keyset在地图上迭代,那将是什么命令?编辑:我想我做了......

回答 4 投票 11

当读卡器断开连接时,命名管道(FIFO)数据在哪里?

假设我有一个producer.go和consumer.go。 consumer.go从UNIX命名管道读取,生成器写入命名管道。正如所料,如果你只是一个生产者或......

回答 1 投票 1

在多个进程写入时读取命名管道

什么样的方法对于解决这个问题是正确的?例如,我有一个名为write.c的程序,它有4个子进程,子进程将它们的PID写入一个名为的全局...

回答 1 投票 -1

SNS消息是否散布到保存订单的SQS队列?

针对SNS的AWS常见问题解答说:问:是否会按照发布的确切顺序将消息发送给我? Amazon SNS服务将尝试按顺序从发布者传递消息...

回答 1 投票 0

使用fifo以非阻塞模式打开并选择

我有两个进程A和B.通信流总是A - > B,但我需要使用命名管道,因为我必须在B进程内的select调用中使用管道文件描述符,并且......

回答 2 投票 5

如何处理FIFO的多个同时输入?

我的verilog代码为8个通道生成DAC斜坡信号(通道,值)。我将此功能添加到已具有DAC控制器/通信器和相关FIFO的项目中。我想要 ...

回答 1 投票 0

在C中打印队列时丢失元素

这是我的代码:typedef struct {int x; struct node * next; } node; typedef node * file; file thread(file f,int val){file nv =(file)malloc(sizeof(node)); nv-> x = ......

回答 1 投票 0

从fifo读取时重叠输出:如何修复/避免这种情况?

我正在尝试聚合来自2个文件的数据,因此我决定通过单独的编写器进程将数据发送到命名的fifo,并启动单独的读取器进程来读取和处理聚合数据。 ...

回答 2 投票 3

尝试了解Azure Service Bus会话

所以我试图理解Azure服务总线会话ID,以便在我的队列中创建FIFO。我的想法非常简单,但我不知道它是否是正确的方式...

回答 2 投票 1

是否存在乐观的无锁FIFO队列实现?

是否存在“无锁FIFO队列的optmistic方法”算法的C ++实现(源代码)?

回答 5 投票 10

忽略FPGA中FIFO输入数据的总体影响是什么?

我理解FIFO的操作,但我想我错过了它的实用性。当在FPGA中实现FIFO时,让我们说跨时钟域,似乎你经常......

回答 2 投票 -1

VBA - 如何在数组中创建队列? (FIFO)先进先出

我正在尝试建立一个能够显示先出先出概念的队列。我想要一个作为等待名单的数组。后来的病人将在晚些时候出院。有 ...

回答 2 投票 1

© www.soinside.com 2019 - 2024. All rights reserved.