system-verilog 相关问题

SystemVerilog是基于Verilog扩展的统一硬件设计,规范和验证语言。

SystemVerilog 实例化模块时,如何将输出连接到多维总线的每条导线

假设我有多维巴士 线路逻辑 [7:0]example_bus[60] [16][4]; 并且模块有输出 输出逻辑[7:0]example_out[16][4]; 我如何将 example_out 分配给

回答 1 投票 0

如何将 FSM 与 BRAM 结合?

我的项目如下:我想首先将通过UART传入的像素值保存到BRAM,然后将它们传递给图像处理过滤器,并通过UART将它们发送回来。目前,我想要这个滤镜...

回答 1 投票 0

系统 Verilog 断言,用于验证一个信号是另一个信号的 2 个时钟周期延迟版本

我的模块有 2 个输入,即 sig_1 和 sig_2(均为多位)。每当 sig1_1 发生变化时,我想使用断言检查 sig_2 是否是 sig_1 的 2 个时钟周期延迟版本。 我尝试...

回答 1 投票 0

分配 SV 端口

我想知道这个 systemVerilog 语法在行为上是否正确: 模块m(输入[3:0] in1); 终端模块 模块顶部(); 分配 inst.in1 = 4'b1010; m inst (.in1()); 终端模块 我问

回答 1 投票 0

如何在系统 verilog 中随机化没有默认值的变量

我想要一个类,我想将所有 rand 变量放入一些默认值,只有当它们从句柄中分配非默认值时,这些变量才应该被随机化 例子 类型定义...

回答 1 投票 0

SystemVerilog 未连接端口

我想知道这两个连接之间是否有任何区别,我知道第一种情况可以接受默认值,但我的问题是从网表的角度来看: 未连接端口 ...

回答 1 投票 0

进位先行加法器的多个驱动程序网络错误 - SystemVerilog

我刚刚开始学习SystemVerilog,现在正在尝试编写一个进位先行加法器。但是,对于我所拥有的 C 信号,我不断收到“多驱动程序网络”错误。 错误就像

回答 1 投票 0

是否可以将顶部模块外部的端口始终保持在高电平?

我正在对 Xilinx BASYS 3、Artix 7 板进行编程。它有 7 段显示屏和 4 个阳极端口。当我在代码中忽略它们时,它们很低,导致 7 段打开。有没有办法把它们系得很高...

回答 1 投票 0

获取:空对象访问

我收到此错误以下错误,据我所知,根据此错误消息,我将访问一个空对象。 错误-[NOA] 空对象访问 生成器.sv, 23 解除引用时的对象...

回答 1 投票 0

使用 xsc 编译器在 Vivado 中添加 C 链接器时出错

我目前正在探索 Vivado 和 SystemVerilog,并且正在开发一个简单的原型,以更好地理解 Windows 中的 SystemVerilog DPI 和端点概念。 在我的原型中,我遵循了...

回答 1 投票 0

Ring FIFO 模拟中未定义的输出

我一直在为 SystemVerilog 开发 FIFO。第一次模拟结果很好。然而,在扩展模拟以尝试将其达到极限并考虑极端情况时,我有

回答 1 投票 0

从 SystemVerilog 测试台中的文件加载配置参数

我正在尝试编写一个SystemVerilog测试平台,它从文件加载预先计算的配置参数。 我的问题是 SystemVerilog 似乎对“constant

回答 1 投票 0

是否可以在界面内编写任务/函数?

在接口内部,通常我们声明时钟块和modport。是否可以在接口内声明任何任务或函数? 如果是,请告诉我任何示例场景。

回答 1 投票 0

在系统verilog中是否可以在接口内编写任务/函数

在接口内部通常我们声明时钟块和modport,所以我的问题是可以在接口内部声明任何任务或函数。 如果是,请告诉我任何示例场景。

回答 1 投票 0

Verilog 乘法器和除法器中加法器模块的不同实现之间的行为差异

我的 Verilog 代码遇到了一个涉及乘法器和除法器的令人费解的问题。我已经使用并行加法器组件实现了这两个模块,但我得到了不同的结果,具体取决于...

回答 1 投票 0

全加器的分层测试平台输出不正确

我设计了一个全加器,只是为了获得全加器的实践分层测试平台方法,包括设计、接口、事务类、生成器类、驱动程序类、监视器类、记分...

回答 1 投票 0

Verilog HDL 支持 $clog2 任务吗?

当我在程序中使用它时,生成了错误(不支持$clog2)。但我看到我们的 StackOverflowers 在他们的程序中使用 $clog2 任务。请告诉我如何使用它。

回答 4 投票 0

将 SystemVerilog 结构转换为 C/C++ 结构

在我的环境中的某个地方,有一个大文件,其中包含(以及其他内容)许多 64 位的系统 Verilog 结构, 例如。: typedef 结构体打包 { 逻辑 [63:63] my_field_1; 逻辑 [6...

回答 1 投票 0

为什么quartus中“设置为顶级实体”是灰色的?

我是使用 System Verilog 进行硬件设计的新手,所以我正在尝试编译一个非常非常简单的文件:D Flip Flop。 它由以下代码组成(取自https://www.chipverify.com/verilog/

回答 1 投票 0

UVM 强制使用时钟块

我想使用以下 SystemVerilog 概念: 时钟块:为了避免驱动程序和监视器之间的竞争条件,我希望将其放在界面的中心位置 modport:通常(e...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.