intel-fpga 相关问题

英特尔FPGA(正式名称为Altera)是英特尔的全资子公司,是现场可编程门阵列(FPGA)的主要品牌。

您如何在VHDL中适当地乘以std_logic:vector?

因此,我正在尝试做一个模块来操纵sg90伺服电机。但是我在架构的一部分上遇到了问题。该模块有一个6位的控制项,我希望将伺服电机放置在其中...

回答 1 投票 0

在Verilog中推断出真正的双端口RAM(与Xilinx和Intel兼容)

我试图编写自己的真正双端口内存模块,希望它可以推断为BRAM:模块dp_async_ram(clk,rst,rd0,rd1,wr0,wr1,in1,in0,out1,out0,addr0,addr1 );参数DEPTH = ...

回答 1 投票 0

驱动程序在Linux初始化期间未在设备树中找到属性

我尝试提出基于Cortex A9(Arria V)的主板。在初始化过程中,cadence-qspi驱动程序抱怨说,它在设备树中找不到对应节点的属性,因此无法......>

回答 1 投票 0

修改DE10-nano默认FPGA配置

我正在使用DE10-nano板上的Linux软件,我需要对默认的FPGA配置进行一些小的修改(在GPIO线上添加上拉电阻)。用户手册指向DE10-Nano System ...

回答 1 投票 2

Cyclone 10 FPGA的被动并行加载是哪种文件?

这里是FPGA的总体菜鸟,请保持温柔。我有一个连接到微控制器的Cyclone 10 CL006。希望通过“快速被动并行”方法加载它。我的FPGA工程师发送了两个文件:.sof和....

回答 1 投票 0

如何在Cyclone-V中在HPS和FPGA之间共享(SDRAM的)存储器?

我想在Cyclone-V中在HPS和FPGA之间共享内存。我在Qsys(Platform Designer)中使用avalon master和所有对象实例化了“ sdram_slave”接口。我想发送内存的base_address ...

回答 1 投票 0

系统verilog如何处理always_ff块中的语句

always_ff @(posedge sysclk)开始case(state)开始SOME_STATE begin if(要检查的一些逻辑)开始variable1 <= 1; variable2 <= variable_a> 0吗? 0:1;结束其他...

回答 1 投票 0

如何使用TCL在Model-sim 10.5c的DO文件中编写线程应用程序?

我有一个FPGA逻辑,其中包含Logic-A和Logic-B功能。我需要在DO文件(TCL)中创建两个线程,以将数据驱动到FPGA输入。线程1:FPGA输入。 '#sim:/ ...

回答 1 投票 0

Quartus 18.0 Lite MAX10器件板型号未在编程器菜单中列出

我在大学里有一项作业,涉及使用Quartus-他们使用Quartus 18.0 Lite。该板是terasiC DE10 -Lite板,它使用芯片10M50DAF484C7G,我已将其安装在...

回答 1 投票 0

如何修复错误(10170): 靠近文本“(”;期待“;” ] >>

我正在尝试在Quartus II中实例化NiosII内核,并得到以下编译错误消息:错误(10170):在文本“(”;期待“;” I ..附近的myNiosII_inst.v(1)上的Verilog HDL语法错误。 。

回答 1 投票 -1

[使用OpenOCD闪存/运行Altera Cyclone IV

我想用OpenOCD运行Altera Cyclone IV IP。无论我需要从quartus中获取什么文件,都应由OpenOCD执行。我目前正在尝试使用sof文件。一个...

回答 2 投票 1

用于Modelsim的Quartus II 10.1中的NativeLink错误

我有一个完全无法理解的错误。在Quartus II 10.1中编译代码并尝试通过Quartus(Nativelink)运行Modelsim之后,出现一个奇怪的错误:NONE。检查Nativelink ...

回答 1 投票 -1

尝试使用'aocl install'安装de5a_net_i2驱动程序,但失败

我正在尝试安装DE5-NET FPGA的驱动程序。我在Ubuntu 16.04上使用针对OpenCL 16.0的英特尔FPGA SDK。 aoc --list-boards提供输出de5a_net_e1但是,在此步骤之后,当我尝试...

回答 1 投票 0

如何使用6个开关作为位在两个7段显示器上显示十进制等效值(0-63)?

[我最近进行了一项技能测试,其中的问题描述为:“创建一个使用sw [6:1]表示7段显示hex2和hex1的0至63的.v(verilog hdl)文件,同时显示“ -“ ...

回答 1 投票 0

我们可以用另一种方式包含C源文件吗?

我在Cyclone V上做了一个裸机项目,现在我正在尝试在C中创建一个裸机应用程序。但是,我在包含源文件时遇到了一些问题。例如,我使用的功能......

回答 1 投票 0

如何检查微处理器是Altera Nios?

我为Altera / Nios II微处理器(uP)编写了一些C程序代码。该代码与Altera Arm 9微处理器不同。所以我需要为不同的uP-s编写2个不同的代码片段。怎么样 ...

回答 2 投票 1

Atlas-SoC板预加载器故障排除

我刚从Terasic(Atlas-SoC)购买了一个新的Cyclone V板,并计划建造一个裸机应用程序。起初,我使用了Terasic提供的GSRD。示例项目来自版本14.0 ...

回答 1 投票 0

CMake add_custom_command失败,bin / sh:1:...未找到

我想要实现的目标我尝试设置工具链来为英特尔FPGA编译OpenCL应用程序。因此,在构建基于C ++的主机应用程序之下,我需要调用Intel OpenCL离线...

回答 1 投票 0

VHDL中的问题:没有按下显示偶数按钮的led tratrix

很抱歉标题不清楚,因为我不知道如何用一句话来形容它。这是我的问题,我正在尝试用altera EPM240T100套件制作一个8x8 led矩阵,它可以显示文字...

回答 1 投票 0

在HPS FPGA Avalon存储器映射接口中写入第3个案例时出错

我需要通过avalon内存映射接口将count_x 32位数据传送到HPS。第一和第二个案件工作得很好。我得到了HPS的数据。但在第三种情况下WHEN'2'=> avs_s0_readdata <= count_x(31 ...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.