intel-fpga 相关问题

英特尔FPGA(正式名称为Altera)是英特尔的全资子公司,是现场可编程门阵列(FPGA)的主要品牌。

Verilog I2C 主模块似乎将 SDA 设置为双向,但在发送 cmd 后无法获得任何响应 [关闭]

我正在尝试通过 DE1-SOC 板与 HTU21D(F) 湿度和温度传感器通信。传感器位于分线板上,分线板上有用于 i2c 的上拉电阻。最接近的匹配...

回答 0 投票 0

有谁知道我该如何解决这个错误,这是 fpga .do 模拟器

(https://i.stack.imgur.com/9F8d3.png) 我正在尝试制作一个波形,其中包含占位符,但我一直收到此错误,任何信息将不胜感激。

回答 0 投票 0

在连接Jupyter的DevCloud上为FPGA进行DPC++编译后查看report.html

我已经拿到了report.html(优化报告),但是不知道怎么查看。 我尝试了完整的命令“firefox repotr.html”并期望通过网络浏览器 Firefox 打开它,但得到: 通讯...

回答 1 投票 0

加法器的行为模块,添加两个 64 位输入并进位输入。如何将进位分配给总和的 MSB?

我想要的功能是添加 A、B 和 cin(其中 A 和 B 是 64 位,cin 是一位)。所以实际总和(实际结果)可以是 64 位甚至 65 位,对吗?所以我要...

回答 1 投票 0

10500 VHDL 语法错误...靠近文本“端口”;除了“(”,或“'”,或“。”

我正在尝试用 VHDL 代码制作一个 ALU 系统。我做过半加器和全加器。我需要使用它们制作一个 ALU 系统。我已经写了我的代码。我对“过程”和 &q 有疑问...

回答 0 投票 0

我可以将 altera de0-nano 的 sdram 控制器 vhdl ocde 用于 altera de1-soc 吗?

我想使用 altera de1-soc fpga 板的 sdram 控制器,我在 vhdl 中找到了一个可以与 altera de0-nano 一起工作的 sdram 控制器,我的问题是这段代码是否也适用于 al.. .

回答 0 投票 0

ROM 初始化的层次结构警告

伙计们,我正在开发一个小型 FPGA 程序,我将我的图片数据从我的笔记本电脑传输到 FPGA 板,然后用显示器显示它。我发现使用 readmemh 我可以初始化

回答 0 投票 0

FPGA主从设备问题[关闭]

我目前正在使用 pyserial 进行 Python FPGA 测试。我使用 i3c 通信协议连接 FPGA 主设备和 FPGA 从设备。有时,两个设备之间的通信我...

回答 0 投票 0

VHDL with-select错误,期待"(",或一个标识符或一元操作符[重复]。

我正在用VHDL写一个2位4输入的多路复用器 完全基于一个真值表。我正在使用with-select语句Code . 然而,我得到以下错误信息。最后4位的错误信息...

回答 1 投票 0

Quartus不允许在Verilog中使用Generate块。

很简单的问题。给出以下代码:模块main( output reg [1:0][DATA_WIDTH-1:0] dOut,输入线[1:0][DATA_WIDTH-1:0] dIn,输入线[1:0][ADDR_WIDTH-1:0] addr, ...

回答 1 投票 0

如何使能Altera MAX7000S器件上的JTAG引脚。

我从eBay买了很多Altera MAX7064S 48引脚QFP CPLD(EPM7064STC44-10N)。想通过ISP JTAG引脚访问,但都没有显示在JTAG链上,我没有得到任何数据回 ...

回答 1 投票 0

如何解决Verilog模块实例化错误

我试图通过实例化另一个模块来连接两个模块的端口,但是我遇到此错误:错误(10170):mlt.v(25)上的Verilog HDL语法错误在文本附近:“ [”;期待“)” ...

回答 1 投票 0

Quartus Prime在$ error命令上抛出错误

我对以下代码有问题,如果我的输入数不能被我的输出数整除,那么下面的代码应该在编译时简单地引发错误。模块多路复用器#(参数...

回答 1 投票 0

ModelSim模拟有效,但FPGA失败。我想念什么?

很抱歉,这里似乎没有什么东西,但是我是从FPGA的新事物开始的,到目前为止,我真的很喜欢它,但这让我发疯了。这是应该在...

回答 1 投票 1

在MAX10 FPGA上使用PLL产生优于400Mhz的时钟信号

我正在使用10M50 FPGA通过MIPI-CSI2从摄像机读取数据,但是板上的时钟运行得不够快。因此,现在我正在尝试使用PLL生成更快的时钟信号。我是...

回答 1 投票 0

是否有特定的Vivado和Quartus工具定义RTL可用于预处理?

我有一个需要同时在Xilinx Vivado工具链和Quartus工具链中构建的项目。在设计中,我有一些特定于供应商的跨时钟域IP。是否有...

回答 1 投票 0

Gaussian Elimination程序无法并行运行-OpenCL

一段时间以来,我一直在尝试并行执行高斯消除过程。似乎内核正在忽略设置的障碍,执行所有操作...

回答 1 投票 0

从开关驱动LED

我一直在研究将Altera的Quartus II与terASIC DE10板结合使用Verilog,但是现在发生了一些意外情况。为了调试,我通过...

回答 2 投票 0

Verilog:通过开关驱动LED。听起来平庸,但

我一直在与Altera的Quartus II和terASIC DE10板一起研究Verilog。我取得了一些进展,但是现在发生了一些意外情况。为了调试,我在开车...

回答 2 投票 0

如何在用于FPGA的PMOD键盘上保存按键条目

我有一个带Digilent PMOD键盘的DE-10 lite FPGA,每次按键盘上的按钮时,我都试图增加一个计数器。我正在尝试增加一个计数器,以便可以知道有多少...

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.