system-verilog 相关问题

SystemVerilog是基于Verilog扩展的统一硬件设计,规范和验证语言。

使用三元运算符而不是 if-else 语句重置触发器

我想知道是否可以用三元运算符替换触发器重置以最小化行数并提高可读性。下面的RTL在仿真和综合上有同样的效果吗?

回答 1 投票 0

LHS 或 RHS 指定的时间延迟有什么区别?

a = #5 b; #5 a = b; 以上两种说法有什么区别吗?

回答 2 投票 0

无效的模块实例化

我正在尝试获取浮点输入并将其拆分为符号、尾数和指数值。在第 7 行和第 8 行,我的编译器(我使用的是 Icarus Verilog)给出了错误: 无效模块

回答 1 投票 0

如何在 case inside 语句范围内使用实数值?

我的意图是使用 SystemVerilog case inside 语句和实数,如下所示。 我知道 realtobits 可能是一个解决方案,但我想知道它是否依赖于工具我是否应该...

回答 1 投票 0

在 System Verilog 中,如何使用循环创建参数化类的对象数组?

我在这个答案中修改了 dave_59 编写的代码块,以创建一个病态案例来说明我的问题: 虚拟班级基地; ??? 末班 class my_class#(int width) extends base; ...

回答 0 投票 0

赋值的左边必须有一个变量数据类型

我在组合作业上遇到了麻烦。我不明白为什么我不能使用始终组合结构来设置我的输出变量。当我使用分配时,我没有得到分配错误......

回答 1 投票 0

显示 Verilog 参数名称

我正在使用参数关键字来定义状态,即 RESET = 5'b00000。如果我想使用 $display 打印出状态名称而不是二进制表示,或者在...中显示状态名称

回答 3 投票 0

6 位二进制计数器带 LED 输出显示 X

我不明白为什么我的程序什么都不返回。我正在尝试制作一个简单的 6 位递增计数器,它在按下按钮时计数。 模块顶部(CLK、BTN_RST、LED、BTN_C); 输入 CLK、BTN_RST、BTN_C; ...

回答 1 投票 0

如何定义m*n输出?

我正在为左移寄存器编写 Verilog 代码,该寄存器在每次移位后将其值存储在子寄存器中。我可以将输出寄存器定义为这样的数组吗?提供的代码只是一个...

回答 1 投票 0

模块中的参数数组:实例化模块时如何设置参数数组单元素?

我正在尝试创建一个具有其他内存模块的模块。我试图让一个参数是 array 的哪个参数,并且使用此参数后的代码将生成模块实例。现在我...

回答 2 投票 0

如何定义具有不同宽度的二维数组输入/输出?

在我之前的模块中,我使用参数来定义二维数组输入/输出,如下面的代码: 参数 AXI_PORT_NUM = 5; 参数 AXI_ADDR_WIDTH = 32; 输入 [AXI_PORT_NUM-1:0][AXI_ADDR_WIDTH-1:...

回答 1 投票 0

systemverilog 如何定义具有不同宽度的二维数组输入/输出?

在我之前的模块中,我使用参数来定义二维数组输入/输出,如下面的代码: 参数 AXI_PORT_NUM = 5; 参数 AXI_ADDR_WIDTH = 32; 输入 [AXI_PORT_NUM-1:0][AXI_ADDR_WIDTH-1:...

回答 0 投票 0

如何调用生成块生成的模块实例的函数?

我在生成块中创建了模块实例,我想调用模块中定义的函数,类似于以下代码: 模块父级(...); ... genvar我; 产生 对于...

回答 1 投票 0

SystemVerilog:如何调用由生成块制作的模块实例的函数

我在生成块中创建了模块实例,我想调用模块中定义的函数,类似于以下代码: 模块父级(...); ... genvar我; 产生 对于...

回答 0 投票 0

由于矩阵元素比较(完整代码),Quartus 未编译系统 verilog 代码

这是我的完整代码 模块游戏(输入 clk,rst,输入逻辑 [3:0] pushbutts,输出 derrota,victoria); 逻辑 [2:0] 状态,next_state; 逻辑修改; 逻辑方向; 逻辑限制; 逻辑 [3:0]

回答 1 投票 0

显式赋值模式类型

我希望能够在赋值模式(1800-2012 秒 10.9)中提供显式结构类型,以便赋值的左侧不需要是该结构类型。有没有...

回答 1 投票 0

统一验证环境

我试图找到合适的结构来为一些桥梁(6 座桥梁)开发统一的验证环境: 我将拥有一个通用记分板、env_top、test 和 tb_top ...

回答 0 投票 0

何时在 SystemVerilog 中使用 `wire`

据我所知,在 SystemVerilog 中使用电线的唯一原因是您是否真的需要在同一网络上使用多个驱动程序(例如 I2C)。在所有其他情况下,var 严格来说更好,因为...

回答 1 投票 0

Verilog HDL Intel Quartus Prime 中 .mif 文件的 $readmemh 语法错误

我正在尝试读取 .mif 文件,这是我遇到的错误 - 错误 (10170):sine3_test1.MIF 附近的 Verilog HDL 语法错误 文字:p。检查并修复任何立即出现的语法错误...

回答 1 投票 0

Specman 到 System Verilog,System Verilog 到 Specman

有什么好的方法可以将现有的验证环境从Specman转换为System Verilog吗? 或者只是从头开始重写所有环境? 反之,有什么好的...

回答 0 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.