verilog 相关问题

Verilog是一种用于模拟电子系统的硬件描述语言(HDL)。它最常用于数字逻辑芯片的设计,验证和实现。

SVA 断言编译语法错误

断言属性@(posedge(clk)) !rstN |-> n==0 && full==0 &&empty==1; 断言属性 @(posedge clk) 禁用 iff(!rstN) ( full |=>(wr_en && $stable (n) ) ); 断言

回答 1 投票 0

发现未分配的位(vivado)

我在 Vivado 中遇到 ASSIGN-9 linting 违规,并且想摆脱它。 我有一个存在此错误的复杂模块,但我能够编写一个简单的可重现示例来很好地显示它

回答 1 投票 0

为什么变量的外部初始化在 for 循环中不可接受?

在 for 循环之外初始化变量会导致错误,因为 i 不是任务。但是,如果我在 for 循环中初始化它,它就会完美运行。 为什么下面的代码会出错...

回答 1 投票 0

$fopen 返回 MCD,但 MCD 不起作用

我正在尝试打开一个文件并写入内容,很简单。我的实现没那么简单。 我正在使用 verilog/systemverilog 和 modelsim。我在 modelsim 中的命令行是: 设置泛型“-gLOG_FILENAME=

回答 1 投票 0

verilog fopen 返回 MCD 但 MCD 不起作用

我正在尝试打开一个文件并写入内容,很简单。我的实现没那么简单。 我正在使用 verilog/systemverilog 和 modelsim。我在 modelsim 中的命令行是: 设置泛型“-gLOG_FILENAME=

回答 1 投票 0

对一个 Verilog 命令感到困惑

谁能帮我理解下面的命令的作用吗? reg [ADDR_WIDTH:0] wr_ptr_reg = {ADDR_WIDTH+1{1'b0}}, wr_ptr_next; 我知道 reg [ADDR_WIDTH:0] wr_ptr_reg = {ADDR_WIDTH+1{1'b0}} 表示 assi...

回答 1 投票 0

如何在包络信号的最大点(第一个和最后一个)触发?

我写了这段代码,但第一个触发器是正确的,但我不知道为什么第二个和第三个触发器出现在第二个以及前一个峰值和最后一个触发器(也作为秒)。 ..

回答 1 投票 0

限制 Verilog 参数

我正在编写一个简单的Verilog模块,需要对其参数值进行限制。我的意思是只允许将某些值分配给通用参数。我知道这可以...

回答 1 投票 0

我的计数器在时钟上升沿驱动时出现错误

我制作了最多计数 100 的计数器。计数行为由时钟的上升沿驱动。 你可以看下面的代码 //“design.sv”的代码 模块计数器( 输入时钟, 输入

回答 1 投票 0

让两条线在DE2-115液晶屏上工作

DE2-115 LCD 上的两条线都无法正常工作。我知道我需要更改 DDRAM 地址以获得开始打印消息的起始位置,但我不是

回答 1 投票 0

Verilog 16位ALU,尝试改变运算代码,结果还是一样

我正在尝试编写一个16位ALU。我已经编译成功了,但是当操作代码alu_code改变时,结果C保持不变(第一次操作结果) 这是我的代码...

回答 1 投票 0

verilog 程序员关于 for 循环的知识

总是@*开始 对于 (i = 0; i < 256; i = i + 1) begin for (j = 0; j < 256; j = j + 1) begin if (image_data[i] == image_data[j]) begin pattern_counts[i] = pattern_counts[i] +...

回答 1 投票 0

检查数组内容是否有重复值的断言

我有一个数组逻辑 [254:0][7:0] 数组。根据设计,该数组必须仅包含一次 0 到 254 之间的所有值。 我想写一个SystemVerilog断言来测试它。 到目前为止,我想出了一些......

回答 1 投票 0

基于SV LRM的reg上允许连续指派数量的测试问题

我想根据 SV LRM 计算出在一根电线/寄存器中持有多少个连续分配是合法的。 我查看了 verilog 和 SV LRM,它说明了以下内容。 “连续作业...

回答 1 投票 0

使用python将括号内的值转换为二进制形式

有一个示例输入文件如下(input1.txt) (供您参考,4'hF 表示其值为十进制 15,它是二进制 4 位,其值为每一位二进制 1111。 所以,4'hF 是 sam...

回答 1 投票 0

以指数格式随机化实数

我有一个缓冲模块,我试图在其中随机化输入到输出延迟。我已将最小和最大延迟声明为实际参数。当我实例化模块时,我想传递参数...

回答 1 投票 0

为什么这些组合逻辑 Systemverilog 描述不等价

我有两个 4 位信号,表示 24 小时格式的时钟小时的二进制编码十进制:h1_24h 和 h0_24h,分别表示最高有效数字和最低有效数字。 我需要一个

回答 1 投票 0

使用 2x4 解码器的 4x16 解码器无法正常工作

我目前正在开发一个 Verilog 项目,尝试使用 2x4 解码器运行 4x16 解码器的测试平台。但是,我遇到了输出问题。我已经提供了代码...

回答 1 投票 0

实数中的杂散小数值

我有一个实数延迟,我想将其转换为 10 的第一个倍数。例如,如果延迟 = 0.0024,我希望输出为 240。 在以下代码片段中,延迟 = 1.52e-6。啊...

回答 1 投票 0

使用 JK 触发器的 Mod-6 计数器总是显示未知输出

我正在尝试使用 mod-8 计数器实现 mod-6 计数器,但无法获得所需的输出波形。 模块 mod_six_counter(clk,rst,q,qbar); 输入时钟; 输入线rst; 输出线[2:0]q;

回答 1 投票 0

© www.soinside.com 2019 - 2024. All rights reserved.